|
USING THE L ATTICE M ICO S YSTEM S OFTWARE : Performing HDL Functional Simulation of LatticeMico32 Platforms48 LatticeMico32 Hardware Developer User GuidePerforming HDL Functional Simulationwith Aldec Active-HDLTo perform HDL functional simulation with Aldec Active-HDL, first create ascript, “aldec_script.do,” and place it in the simulation directory. Copy thefollowing commands into the script:cd “/Platform/simulation”workspace create sim_spacedesign create sim_design .design open sim_designcd “/Platform/simulation”set sim_working_folder .vlog pmi_addsub.vvlog pmi_ram_dq.vvlog pmi_ram_dp.vvlog pmi_ram_dp_true.vvlog pmi_distributed_dpram.vvlog pmi_fifo.vvlog pmi_fifo_dc.v# add additional vlog commands for each PMI module in the# design. The list shown is not intended to be complete for all# possible LM32 designs.vlog +define+SIMULATION ../soc/platform.vacom ../soc/platform_vhd.vhdvlog +incdir+../Components/lm32_top/rtl/verilog+../soctestbench.v# the VSIM command uses the Aldec for Lattice pre-compiled FPGA# libraries. If the Aldec for Lattice simulator is not being# used, it will be necessary to compile the behavioral code for# the FPGA. For the ECP2, the behavioral code is located at:# /cae_library/simulation/verilog/ecp2vsim testbench –L ovi_ecp2Launch the Active-HDL software and execute the following command in theconsole window:cd /Platform/simulation# verify that you are in the correct directorypwddo aldec_script.doPerforming HDL Functional Simulationwith Mentor Graphics ModelSimTo perform HDL functional simulation with ModelSim, first create a script,“modelsim_script.do,” and place it in the simulation directory. Copy thefollowing commands into the script:
PreviousNext |